Skip to content

Fix wsign-compare warning

Compiler warning was being flagged between the integer comparisons as we were comparing a int with a size_t. This commit resolves the warning by updating the int to a size_t.

Change-Id: Ibcb72ac9abb6c8e18bc8aa1e33efadc9ef79a564 Signed-off-by: Dennis Tsiang dennis.tsiang@arm.com

Merge request reports